Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

22.11 `pragma #32

Open
drom opened this issue Oct 11, 2019 · 0 comments
Open

22.11 `pragma #32

drom opened this issue Oct 11, 2019 · 0 comments
Labels
enhancement New feature or request hacktoberfest spec Implement Spec feature

Comments

@drom
Copy link
Collaborator

drom commented Oct 11, 2019

implement and test 22.11 pragma directive

https://symbiflow.github.io/sv-tests/#tree_sitter_verilog|5.6.4|pragma-directive

@drom drom added enhancement New feature or request hacktoberfest spec Implement Spec feature labels Oct 11, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
enhancement New feature or request hacktoberfest spec Implement Spec feature
Projects
None yet
Development

No branches or pull requests

1 participant