Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

parameter aggregate type #34

Open
drom opened this issue Oct 14, 2019 · 0 comments
Open

parameter aggregate type #34

drom opened this issue Oct 14, 2019 · 0 comments
Labels
bug Something isn't working hacktoberfest

Comments

@drom
Copy link
Collaborator

drom commented Oct 14, 2019

https://symbiflow.github.io/sv-tests/#tree_sitter_verilog|6.20.2|parameter_aggregate

/*
:name: parameter_aggregate
:description: parameter aggregate type tests
:should_fail: 0
:tags: 6.20.2
*/
module top();
	parameter logic [31:0] p [3:0] = '{1, 2, 3, 4};
endmodule
@drom drom added bug Something isn't working hacktoberfest labels Oct 14, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
bug Something isn't working hacktoberfest
Projects
None yet
Development

No branches or pull requests

1 participant